群贤毕至!第十三届微光刻技术交流会在青岛成功召开

来源:米乐app官网下载    发布时间:2024-06-06 08:50:31

  8月29日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第四届微光刻分委会年会暨第十三届微光刻技术交流会在青岛成功召开。

  8月29日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第四届微光刻分委会年会暨第十三届微光刻技术交流会在青岛成功召开。本届会议由全国半导体设备和材料标准化技术委员会微光刻分会秘书处和青岛市城阳区人民政府主办,青岛天仁微纳科技有限责任公司承办。会议吸引了业界三百余位资深专家及企业代表参会。

  大会开幕式由微光刻分技术委员会主任委员冯稷主持,青岛轨道交通产业示范区工委委员、管委副主任矫鲲,青岛轨道交通产业示范区管委招商部部长刘新歧,青岛天仁微纳科技有限责任公司董事长冀然,全国半导体设备和材料标准化技术委员会微光刻分会秘书长陈宝钦分别致辞。

  面临极端加工要求,仅仅依靠常规的微光刻技术面临难加工材料、难加工结构、难加工基底等加工难题。针对于此,陈艺勤所在课题组提出力学辅助光刻技术,人为地通过结构设计或添加外场等方式放大微光刻工艺过程中结构内部或结构之间的相互作用;通过人为施加的力学手段来代替或者补充微光刻技术的某一个或某几个工艺环节。报告中,陈艺勤介绍了其所在课题组利用高分辨的力学辅助光刻技术,围绕材料、工艺、应用三个方面开展的系列工作。

  陈林森教授三十年来从全息光学到微纳光学迈向光子制造,先后获得3项国家科学技术进步二等奖;5项江苏省科技奖一等奖;6项中国专利优秀奖。21世纪是“追光”的世纪,谁率先攻克大面积微纳结构功能化难题,谁将在光子领域处于主动地位。但传统光刻技术难以解决大面积光子器件的制备难题,已有图形化技术难以加工复杂微纳结构。面向科技前沿与重大需求,需要确立“更好的解决方案”。自主可控光子技术,对新材料、新装备、新器件的可控性与安全性意义重大。针对于此,陈林森教授基于光场重构、智能计算、数字化光刻与柔性纳米压印,构成了“微纳光制造”底层关键技术,推出了一系列产业化的产品和设备。

  我国尚处于EUV光刻核心关键技术攻关阶段,国内EUV光刻胶的研发尚属于起步阶段。光刻胶的光刻性能检验测试是光刻胶研发的必要条件,而13.5nm在波长检测是衡量光刻胶曝光性能最准确的检测的新方法。曝光后能够得到光刻胶的三个主要参数:分辨率、灵敏度和边缘粗糙度。光刻胶研发过程中需多次迭代、检测,获得最佳曝光性能。吴衍青表示,同步辐射EUV干涉光刻是业界公认的检测的新方法。当前上海光源已为国内多所高校/研究所/企业单位提供光刻胶性能检验测试支持,取得了丰硕的成果。

  高纯石英性能优良被称为“玻璃之王”,石英玻璃具有比其它以二氧化硅为骨架的如钠钙硅玻璃、硼硅玻璃、普通光学玻璃等混合物玻璃不能够比拟的独特和优异性能,尤其透明石英玻璃的光学性能非常优异,在紫外到红外辐射的连续波长范围都有优良的透射比。曹海平在报告中对比了海内外主流厂商的工艺,并介绍了神光光学的六大特色生产的基本工艺:国内首创立式单灯闭式沉积装置,集成原料预处理、反应合成和适应生长三大模块;超精准燃烧管控和液态物蒸发创造了恒定的流场,确保高纯度;优异的燃烧器热场匹配先进的沉积炉温场,形成最佳的合成界面和产品截面;先进的自动化控制的适应生长获得长度向一致性及轴对称性;首创通过槽沉热成型抑制横向延展后的缺陷分布和改善二次缺陷;自主研发多级精密退火工艺减少了应力影响。之后,曹海平介绍了神光光学石英玻璃的应用、产品关键指标等信息。

  报告题目:《Manufacturing advanced photonic devices needs reliable nanoimprinting lithography solutions》

  在可预见的未来,光子器件正在并将在我们的社会中大规模使用。纳米压印技术与其他技术相比具有竞争优势。Massimo Tormen 表示,与其他现有复制技术不同,纳米压印(NlL)技术结合了高分辨率、2.5D图案化能力的特点,吞吐量大,需要的投资和运行成本适中;与DUV和EUV光刻相比,因为NIL的缺陷率更高,目前电子工业不使用NIL技术,但光子学应用的缺陷容忍度略高,这使NlL有机会成为先进光子器件的首选制造技术,因为其他竞争先锋发挥着更大的作用(分辨率、吞吐量、成本、2.5D图案化能力等);NIL可以在许多光子应用中赢得与投影光刻的竞争优势。目前天仁微纳的UV-NIL技术越来越成熟。

  报告题目:《NanoFrazor—A versatile Nanopatterning Tools》海德堡仪器的杨菲博士在报告中介绍了一种可应用于纳米尺度科学研究的纳米制造技术—纳米扫描热探针直写技术。据介绍,海德堡的相关这类的产品NanoFrazor具有高分辨率纳米光刻15 nm横向分辨率,

  25 nm);非侵入性(无带电粒子束,无口罩接触,无接近效应);兼容多种材料和手套箱操作。

  报告题目:《Raith Nanofabracation Application Updates 2023》

  本次微光刻年会,锐时科技带来了超高性能电子束光刻系统EBPG Plus、VOYAGER 高性能电子束曝光系统、FIB-SEM系统VELION、CHIPSCANNER 高分辨率电子束曝光机和激光光刻解决方案PICOMASTER。由于时间关系,朱国先生主要介绍了超高性能电子束光刻系统EBPG Plus。据了解,EBPG Plus是一种超高性能电子束光刻系统,100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。

  陈利奇主要介绍了GenlSys的五类产品。据了解,GenlSys的电子和激光束直接写入软件是高斯光束直写系统的市场领导者,安装在全球大多数主要的纳米制造中心,已成为先进电子束光刻的必备品;蒙特卡罗模拟软件能够直接进行电子束光刻建模与校正中电子分布的MC模拟,可完成过程校准、PSF可视化、提取和管理;3D光刻模拟和OPC软件覆盖了接触式光刻(掩模对准器)和投影光刻(步进器/扫描仪),电子束光刻和激光直写光刻(海德堡仪器激光系统);SEM图像分析与计量是一款可用于基于SEM的计量和检验的计量软件;掩模版生产软件是用于掩模室的专用MDP,高性能(层次结构、并行处理、掩模过程校正…)等。

  刘泽文教授主要在报告中回顾了光刻技术的起源、发展与展望。刘泽文教授表示,微光刻技术不仅是人类科技文明的集大成,也是科学技术和现代企业、政府协同推进实现技术进步的典范。EUV光刻机设备本质上是一台基于物理原理的科学工具,而不是普通的机械设备,是高投入、多学科、多技术、多企业、有组织协同发展的结果。在中国这样的国家,有一家甚至两家以上的机构组织进行EUV光刻研发是很有必要的,不仅符合中国的国家利益,也符合人类利益。任何形式的垄断,总是不好的。在重视EUV光刻的同时,需要在新的方向上进行探索,保持创新力,寻找突破点。EUV微光刻技术值得微光刻技术标准化分委员会专家们关注。

  集成电路是制造业的最高端,其多步工艺、精细加工、复杂、环境要求高、资金密度高;是精密光学、等离子体物理、磁学、精细化学、数学模型、材料科学等多种学科融合学科;也是精密机械、光学工程、电控技术、软件、温度控制技术等多种技术集成;其技术更新快,每18个月更新一代。但当前集成电路学科实验教学面临诸多难点。针对于此,夏洋等团队设计了系列课程,筹备建设了集成电路学科平台。何萌认为,产教融合需要高校和企业联合开设课程,定向培养高端专业工程性人才,形成集成电路产教融合教学联盟。

  据介绍,科技部某项目需研制600*300mm*40mm位相板,以此实现以3.1米主镜为基准,两次装调实现5镜共基准。由于600mm超过设备运动范围(400mm)限制,需要开发激光直写远距离高精度拼接曝光技术。报告中,魏鸿达介绍了拼接方案,第一步完成450*300mm图案加工,经显影、刻蚀、再次涂胶后,第二次曝光完成第二部分加工;两次摆放基板会造成坐标系破坏,产生拼接误差,需重构坐标系,降低误差,大尺度多范围设定靶标;精准提取十字刻线质心,数据拟合修正,以基板靶标重建坐标系,实现在同一坐标系下两次直写。此外,魏鸿达还介绍了离子束刻蚀技术和相关应用拓展。

  广义芯片包括集成电路在内所有由光刻技术制造具体一定功能和集成度的系统,如第二代第三代化合半导体,传感器(应变,光栅,光电探测器,气体传感器等),显示器件,生物芯片,发光器件,MEMS,微光学元件,分立电子器件,通迅器件等。随信息时代的发展,非IC广义芯片的应用十分广泛,需求量十分巨大;针对广义芯片的光刻机需要适应大量非IC规定要求,目前尚未形成垄断,国内具有实现自主可控的能力;国内相关单位需要把握当前窗口,形成面向广义芯片光刻设备的研发与应用链条,解决相关行业自主可控问题。

  江苏长进微电子材料有限公司成立于2021年,专门干半导体光刻胶产品的研发、生产和销售。公司的产品系列完整,产品应用领域涵盖集成电路 (IC)、发光器件 (LED) 、分立器件 (Transistor) 、先进封装(WLCSP,Bumping,FO-WLP,Chiplet)、微机电系统 (MEMS) 、掩膜版 (Mask) 等。报告中,王凯介绍了长进微电子的产品分类、技术路线、电子束胶在多层结构和灰度光刻中的应用等。

  长飞石英基于30余年的光纤预制棒合成石英沉积、热处理等工艺的深入研发与制备经验开发出多种石英制备技术,并建立了全面的石英材料检验测试平台。长飞合成石英材料,为光学、半导体、光通信等多个行业领域,提供高品质石英材料产品。依托于先进的检测设备与专业的检验测试能力,长飞石英检验测试中心可对石英材料的各类光学特性与参数,进行全方位深入测试,为产品研制与交付提供质量保障。

  变焦复眼具有体积小、视场角大、灵敏度高等优点,非常适用于高性能的光电探测器、光场相机等。得益于3D光刻技术设计自由度高、幅面大、粗糙度低以及保真度高等优点制得了人工超复眼结构。该结构实现了信息共享功能:由于光敏单元的独特结构,可以在一定程度上完成不同光敏单元对物体信息的共同成像;变焦功能: 人工超复眼作为由五种不同焦距子眼组成的复眼,能够感知不同焦平面上的物体;大视场角: 由于在曲面上制备了大量的光敏单元,因此人工超复眼的视场角比在平面上制备的微透镜阵列更大,测试结果为人工超复眼可工作范围视场角约为62°;超疏水微透镜阵列:在复眼结构中增加超疏水结构,使得在高湿度环境仍拥有非常良好的成像功能。基于信息共享功能与变焦功能,人工超复眼不但可以在曲面上实现变焦成像,也可以在平面上实现变焦成像。相信这种具有新颖结构的微光学元件为制造具有高光学性能的小型化设备提供了新思路。

  结构超滑是指两个固体表面非间接接触做相对滑移运动时,摩擦极低、磨损为零的状态。结构超滑的初步概念最早可追溯到上世纪八九十年代。之后,郑泉水课题组于2002年预言第一个超滑器件,荷兰Frenken院士于2004年第一次在极端条件下观测到纳米尺度的超滑现象。2012年,郑泉水课题组首次在大气环境下实现了微米尺度的结构超滑,标志着结构超滑技术的诞生。报告中,潘旭捷介绍了结构超滑技术的原理、在微纳米器件中的应用和深圳结构超滑技术实验平台。

  Nanoscribe的双光子灰度光刻激光直写技术(2GL ®)可用于工业领域2.5D微纳米结构原型母版制作。2GL通过创新的设计重新定义了典型复杂结构微纳光学元件的微纳加工制造。该技术结合了灰度光刻的出色性能,以及双光子聚合的亚微米级分辨率和灵活性。报告中,崔万银介绍了有关技术在硅片上3D加工、光纤端面加工和硅光芯片上的3D加工的应用。

  王云翔是美图半导体和研材微纳的创始人。报告中,王云翔介绍了美图&研材的业务架构,键合机、喷胶机、光刻机等产品及其在纳米森林、深结构刻蚀、金属微结构、薄膜器件、生物芯片、量产芯片等方面的应用。

  刘辉文在去年报告成果的基础上进行了进一步的研究,电位限制式电子束投影光刻技术进行了分辨率为20nm图形的仿真曝光,并在新型掩模下方0.8um处汇聚形成图形,图形中心线条粒子分布呈类高斯分布。通过增加带电层与原来静电场共同形成柱状透镜,使穿过掩蔽层图形缝隙的电子束汇聚。解决了电子散射问题,使电子能够在远离掩蔽层的位置曝光,解决了采用电位限制式电子束投影光刻技术实用化的问题。电位限制式电子束投影光刻技术能够解决原有电子束投影光刻技术的问题,结合其他技术能够解决电子束投影光刻技术实用化问题。通过本次电磁仿真和计算,从理论上验证了新型电位限制式电子束投影光刻技术的可行性和实用性,为以后实物验证做了前期准备,朝着制造高分辨率的电子束投影光刻系统又前进了一步。

  福建省市场监督管理局关于发布《恒温振荡器校准规范》等15项地方计量技术规范及废止《固定污染源烟气排放连续监测系统》等10项地方计量技术规范的公告

  突破传统半导体工艺2D加工限制,双光子聚合技术前景广阔——访Nanoscribe中国区总经理崔万银博士

  突破卡脖子技术!13款仪器与半导体设备入选江苏省首台套重大装备公示名单

  显微学启迪新希望BCEIA 2023电子显微学及材料科学分会在京开幕!